penny
Home

Daeyeon Kim

Publications

Conference Proceedings


  • Centip3De: A 3930 DMIPS/W Configurable Near-Threshold 3D Stacked System With 64 ARM Cortex-M3 Cores
    David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wiekowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw
    IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2012
    (in press)

  • Variation-Aware Static and Dynamic Writability Analysis for Voltage-Scaled Bit-Interleaved 8-T SRAMs
    Daeyeon Kim, Vikas Chandra, Robert Aitken, David Blaauw, Dennis Sylvester
    International Symposium on Low-Power Electronics and Design (ISLPED), Aug. 2011
    (link)

  • Design and Implementation of Centip3De, a 7-layer Many-Core System
    David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wiekowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw
    ACM/IEEE Design Automation Conference (DAC), June 2011
    gv [DAC/ISSCC Student Design Contest Winner]
    (in press)

  • A 1.85fW/bit Ultra Low Leakage 10T SRAM with Speed Compensation Scheme
    Daeyeon Kim, Gregory Chen, Matthew Fojtik, Mingoo Seok, David Blaauw, Dennis Sylvester
    IEEE International Symposium on Circuits and Systems (ISCAS), May 2011
    (link)

  • A Dense 45nm Half-Differential SRAM with Lower Minimum Operating Voltage
    Gregory Chen, Michael Wieckowski, Daeyeon Kim, David Blaauw, Dennis Sylvester
    IEEE International Symposium on Circuits and Systems (ISCAS), May 2011
    (link)

  • A 128kb High Density Portless SRAM Using Hierarchical Bitlines and Thyristor Sense Amplifiers
    Michael Wieckowski, Gregory Chen, Daeyeon Kim, Dennis Sylvester, David Blaauw
    ACM/IEEE International Symposium on Quality Electronic Design (ISQED), Mar. 2011
    (link)

  • A 1 Cubic Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor
    Gregory Chen, Hassan Ghaed, Razi-Ul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, David Fick, Daeyeon Kim, Mingoo Seok, Kensall Wise, David Blaauw, Dennis Sylvester
    IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2011
    (link)

  • Millimeter-Scale Nearly-Perpetual Sensor System with Stacked Battery and Solar Cells
    Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis Sylvester, David Blaauw
    IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2010
    (link)

  • Low Power Circuit Design Based on Heterojunction Tunneling Transistors (HETTs)
    Daeyeon Kim, Yoonmyung Lee, Jin Cai, Leland Chang, Steven J. Koester, Dennis Sylvester, David Blaauw
    International Symposium on Low-Power Electronics and Design (ISLPED), Aug. 2009
    [Best Paper Award]
    (link)

  • Phoenix: an Ultra-Low Power Processor for Cubic Millimeter Sensor Systems
    Mingoo Seok, Scott Hanson, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw
    ACM/IEEE Design Automation Conference (DAC), July 2009
    [DAC/ISSCC Student Design Contest Winner]
    (pdf)

  • The Phoenix Processor: A 30pW Platform for Sensor Applications
    Mingoo Seok, Scott Hanson, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw
    IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2008
    (link)

Journal Publications


  • A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode
    Scott Hanson, Mingoo Seok, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw
    IEEE Journal of Solid-State Circuits (JSSC), Apr. 2009
    (link)